14.8 C
Brussels
Moqebelo, May 4, 2024
NewsChip ena e nyane e ka sireletsa data ea mosebelisi ha e ntse e thusa komporo e sebetsang hantle ho ...

Chip ena e nyane e ka sireletsa data ea mosebelisi ha e ntse e nolofalletsa komporo e sebetsang hantle ho smartphone

TLHOKOMELISO: Lintlha le maikutlo a hlahisitsoeng lingolong ke tsa ba li bolelang mme ke boikarabello ba bona. Phatlalatso ho The European Times ha ho bolele feela ho tšehetsa maikutlo, empa ho bolela tokelo ea ho e hlahisa.

LIPHETOLELO TSA TLHOKOMELISO: Lingoliloeng tsohle sebakeng sena sa marang-rang li hatisitsoe ka Senyesemane. Liphetolelo tse fetoletsoeng li etsoa ka mokhoa o ikemetseng o tsejoang e le liphetolelo tsa neural. Haeba u na le pelaelo, kamehla sheba sehlooho sa pele. Kea leboha ha u utloisisa.

Newsdesk
Newsdeskhttps://europeantimes.news
The European Times Litaba li ikemiselitse ho fana ka litaba tsa bohlokoa ho eketsa tlhokomeliso ea baahi ho potoloha sebaka sa Europe.

Bafuputsi ba thehile tharollo ea ts'ireletso ka chip ena e nyane bakeng sa mefuta ea AI e lapetseng matla e fanang ka tšireletso khahlano le litlhaselo tse peli tse tloaelehileng.

Lisebelisoa tsa ho hlahloba bophelo bo botle e ka thusa batho ho laola mafu a sa foleng kapa ho lula tseleng e nang le lipakane tsa ho ikoetlisa, ba sa sebelise letho ho feta smartphone. Leha ho le joalo, lits'ebetso tsena li ka tsamaea butle 'me tsa hloka matla hobane mefuta e mengata ea ho ithuta ka mochini e li fang matla e tlameha ho koaloa lipakeng tsa smartphone le seva ea memori e bohareng.

Hangata baenjiniere ba potlakisa lintho ba sebelisa hardware e fokotsang tlhokahalo ea ho tsamaisa data e ngata pele le morao. Le hoja li-accelerator tsena tsa ho ithuta ka mochine li ka nolofatsa k'homphieutha, li kotsing ea ho hlaseloa ke bahlaseli ba ka utsoang boitsebiso ba lekunutu.

Ho fokotsa ts'oaetso ena, bafuputsi ba MIT le MIT-IBM Watson AI Lab ba ile ba theha accelerator ea ho ithuta ka mochine e hanyetsanang le mefuta e 'meli e tloaelehileng ea litlhaselo. Chip ea bona e ka boloka lirekoto tsa bophelo bo botle ba mosebelisi, tlhahisoleseling ea lichelete, kapa lintlha tse ling tsa lekunutu e le lekunutu ha e ntse e thusa mefuta e meholo ea AI ho sebetsa hantle lisebelisoa.

Sehlopha se hlahisitse lintlafatso tse 'maloa tse nolofalletsang ts'ireletso e matla ha se ntse se liehisa sesebelisoa hanyane. Ho feta moo, tšireletso e eketsehileng ha e ame ho nepahala ha lipalo. Potlakiso ena ea ho ithuta ka mochini e ka ba molemo haholo bakeng sa ho batla lits'ebetso tsa AI joalo ka 'nete e netefalitsoeng kapa ea nnete kapa ho khanna ka boithaopo.

Le hoja ho kenya ts'ebetsong chip ho ka etsa hore sesebelisoa se theko e boima hanyenyane 'me se se ke sa sebetsa hantle ka matla, seo ka linako tse ling e leng theko e ntle ea ho lefa bakeng sa ts'ireletso, ho bolela mongoli ea ka sehloohong Maitreyi Ashok, moithuti ea fumaneng mangolo oa boenjiniere ba motlakase le saense ea khomphutha (EECS) MIT.

"Ho bohlokoa ho etsa moralo ka ts'ireletso kelellong ho tloha fatše. Haeba u leka ho eketsa ts'ireletso e fokolang ka mor'a hore tsamaiso e qapiloe, e theko e boima haholo. Re khonne ho leka-lekanya boholo ba li-tradeoffs tsena nakong ea moralo, "ho bolela Ashok.

Bangoli-'moho le eena ba kenyelletsa Saurav Maji, moithuti ea tsoang sekolong sa EECS; Xin Zhang le John Cohn ba MIT-IBM Watson AI Lab; le mongoli e moholo Anantha Chandrakasan, ofisiri e ka sehloohong ea MIT le maano, mookameli oa Sekolo sa Boenjiniere, le Vannevar Bush Moprofesa oa EECS. Lipatlisiso li tla hlahisoa Sebokeng sa IEEE Custom Integrated Circuits.

Ho ba le ts'oaetso e ka lehlakoreng la kanale

Bafuputsi ba ne ba shebile mofuta oa accelerator ea ho ithuta ka mochini e bitsoang digital in-memory compute. Chip ea dijithale ea IMC e etsa lipalo ka har'a mohopolo oa sesebelisoa, moo likaroloana tsa mohlala oa ho ithuta ka mochini li bolokiloeng kamora hore li tlosoe ho tsoa ho seva e bohareng.

Mohlala oohle o moholo haholo hore o ka bolokoa sesebelisoa, empa ka ho o pshatla likotoana le ho sebelisa likotoana tseo hape ka hohle kamoo ho ka khonehang, li-chips tsa IMC li fokotsa palo ea data e tlamehang ho isoa pele le morao.

Empa li-chips tsa IMC li ka hlaseloa habonolo ke linokoane. Tlhaselong ea kanale e ka thoko, senokoane se beha leihlo tšebeliso ea matla a chip 'me se sebelisa mekhoa ea lipalo-palo ho khutlisa data ea boenjiniere ha chip e bala. Tlhaselong ea ho hlahloba libese, senokoane se ka utsoa likotoana tsa mohlala le dataset ka ho lekola puisano lipakeng tsa accelerator le memori ea off-chip.

Digital IMC e potlakisa computation ka ho etsa limilione tsa ts'ebetso ka nako e le 'ngoe, empa ho rarahana hona ho etsa hore ho be thata ho thibela litlhaselo ho sebelisa mekhoa ea ts'ireletso ea setso, Ashok o re.

Eena le basebetsi-'moho le eena ba ile ba nka mekhoa e meraro ea ho thibela litlhaselo tsa litsela tse ka thōko le tsa libese.

Taba ea pele, ba sebelisitse mokhoa oa ts'ireletso moo data ho IMC e arotsoeng likotoana tse sa reroang. Mohlala, zero e nyane e ka aroloa ka likotoana tse tharo tse ntseng li lekana le zero kamora ts'ebetso e hlakileng. Ha ho mohla IMC e kopanang le likotoana tsohle ts'ebetsong e le 'ngoe, kahoo tlhaselo ea kanale e ka lehlakoreng e ke ke ea hlola e theha tlhahisoleseling ea 'nete.

Empa hore mokhoa ona o sebetse, ho tlameha ho eketsoa likotoana tse sa reroang ho arola data. Hobane IMC ea dijithale e sebetsa limilione tsa ts'ebetso ka nako e le 'ngoe, ho hlahisa likotoana tse ngata tse sa reroang ho ka kenyelletsa komporo e ngata haholo. Bakeng sa chip ea bona, bafuputsi ba fumane mokhoa oa ho nolofatsa likhomphutha, ho etsa hore ho be bonolo ho arola data ka nepo ha ba ntse ba tlosa tlhoko ea likotoana tse sa reroang.

Ea bobeli, ba ile ba thibela litlhaselo tsa libese ba sebelisa "cipher" e bobebe e koahelang mohlala o bolokiloeng mohopolong oa off-chip. Cipher ena e bobebe e hloka feela lipalo tse bonolo. Ho feta moo, ba ile ba hlakola likaroloana tsa mohlala tse bolokiloeng ho chip ha ho hlokahala.

Ntlha ea boraro, ho ntlafatsa ts'ireletso, ba ile ba hlahisa senotlolo se senyang cipher ka ho toba ho chip, ho e-na le ho e tsamaisa pele le morao ka mohlala. Ba hlahisitse senotlolo sena se ikhethileng ho tsoa ho mefuta e sa tloaelehang ea chip e hlahisoang nakong ea tlhahiso, ho sebelisoa se tsejoang e le ts'ebetso e ke keng ea koaheloa 'meleng.

"Mohlomong terata e 'ngoe e tla ba motenya ho feta e 'ngoe. Re ka sebelisa mefuta ena ho fumana li-zero le tse ling ho tsoa potolohong. Bakeng sa chip e 'ngoe le e' ngoe, re ka fumana senotlolo se sa reroang se lokelang ho tsitsa hobane thepa ena e sa fetoheng ha ea lokela ho fetoha haholo ha nako e ntse e ea, "Ashok oa hlalosa.

Ba sebelisitse lisele tsa memori hape ho chip, ba sebelisa mefokolo ea lisele tsena ho hlahisa senotlolo. Sena se hloka khomphutha e nyane ho feta ho hlahisa senotlolo ho tloha qalong.

"Joalokaha ts'ireletso e se e le taba ea bohlokoa moralong oa lisebelisoa tse haufi, ho hlokahala hore ho thehoe pokello e felletseng ea sistimi e shebaneng le ts'ebetso e sireletsehileng. Mosebetsi ona o shebane le ts'ireletso bakeng sa mesebetsi e mengata ea ho ithuta ka mochini mme e hlalosa processor ea dijithale e sebelisang ntlafatso e fapaneng. E kenyelletsa phihlello ea data e patiloeng lipakeng tsa memori le processor, mekhoa ea ho thibela litlhaselo tsa li-channel tse sebelisang li-randomization, le ho sebelisa hampe ho feto-fetoha ho hlahisa likhoutu tse ikhethang. Meralo e joalo e tla ba ea bohlokoa lisebelisoa tsa mehala tsa nako e tlang, ”ho bolela Chandrakasan.

Teko ea polokeho

E le ho leka chip ea bona, bafuputsi ba ile ba nka karolo ea linokoane 'me ba leka ho utsoa tlhahisoleseding ea lekunutu ba sebelisa litlhaselo tse lehlakoreng le ho hlahloba libese.

Esita le ka mor'a ho etsa liteko tse limilione, ha baa khona ho tsosolosa boitsebiso leha e le bofe ba 'nete kapa ho ntša likotoana tsa mohlala kapa dataset. Cipher le eona e ile ea lula e sa qhekellehe. Ka lehlakoreng le leng, ho ile ha nka lisampole tse ka bang 5,000 feela ho utsoa tlhahisoleseling ho chip e sa sireletsoang.

Ho eketsoa ha ts'ireletso ho ile ha fokotsa matla a matla a accelerator, hape ho ne ho hloka sebaka se seholoanyane sa chip, se neng se tla etsa hore ho be le theko e boima haholo ho e etsa.

Sehlopha se rera ho hlahloba mekhoa e ka fokotsang tšebeliso ea matla le boholo ba chip ea bona nakong e tlang, e leng se tla etsa hore ho be bonolo ho e sebelisa ka tekanyo.

“Ha e ntse e tura haholo, ho ba thata le ho feta ho kholisa motho hore tšireletseho ke ea bohlokoa. Mosebetsi oa nakong e tlang o ka hlahloba li-tradeoffs tsena. Mohlomong re ka e etsa hore e se sireletsehe hanyane empa e be bonolo ho e sebelisa ebile e theko e tlase, ”ho bolela Ashok.

E ngotsoe ke Adam Zewe

- Advertisement -

E eketsehileng ho tloha ho mongoli

- LITABA TSE KHETHEHILENG -tlhompho
- Advertisement -
- Advertisement -
- Advertisement -tlhompho
- Advertisement -

E tlameha ho bala

Lihlooho tsa moraorao

- Advertisement -